Hello Guest

Sign In / Register
EnglishDeutschItaliaFrançais한국의русскийSvenskaNederlandespañolPortuguêspolskiSuomiGaeilgeSlovenskáSlovenijaČeštinaMelayuMagyarországHrvatskaDanskromânescIndonesiaΕλλάδαБългарски езикGalegolietuviųMaoriRepublika e ShqipërisëالعربيةአማርኛAzərbaycanEesti VabariikEuskera‎БеларусьLëtzebuergeschAyitiAfrikaansBosnaíslenskaCambodiaမြန်မာМонголулсМакедонскиmalaɡasʲພາສາລາວKurdîსაქართველოIsiXhosaفارسیisiZuluPilipinoසිංහලTürk diliTiếng ViệtहिंदीТоҷикӣاردوภาษาไทยO'zbekKongeriketবাংলা ভাষারChicheŵaSamoaSesothoCрпскиKiswahiliУкраїнаनेपालीעִבְרִיתپښتوКыргыз тилиҚазақшаCatalàCorsaLatviešuHausaગુજરાતીಕನ್ನಡkannaḍaमराठी
まず  ページ > ニュース > EUV競争は周辺半導体装置に激化

EUV競争は周辺半導体装置に激化

新世代の半導体製造技術「EUV(Extreme Ultraviolet Light)」を取り巻く機器メーカー間の競争は激化しています。東京エレクトロンは2020年度(2021年3月現在)に過去最高の開発費を投資し、レーザーテックの注文台帳はこの1年で倍増しました。 EUV関連の機器市場では、オランダのASMLがコアとなる露光機を独占していますが、検査や光源の分野では、日本企業の存在感も高まっています。

世界第3位の半導体製造装置メーカーである東京電子の川合俊樹社長は、「EUVが普及すれば、より高性能な装置の需要が高まる」と語った。 2020年度は過去最高の1,350億円の研究開発費を投入する。 。


東京電工の強みは「コーターデベロッパー」。シリコンウエハーに半導体材料として特殊な薬液を塗布して開発する装置です。 EUV量産装置の分野では同社のシェアは100%。今期の連結売上高は1.28兆円を見込んでいます。この10%以上が研究開発に使用され、EUVの普及段階における最先端を統合します。

年間6兆円を超える半導体製造装置市場では、世代交代が進んでいます。

半導体の回路線幅が細かいほど高性能であり、現在の最先端製品は5ナノメートルです。このような薄い回路をシリコンウェーハに転写するためには、EUVリソグラフィーマシンが不可欠です。世界で唯一量産されているEUV法であるASMLの供給が増える中、コーティングや光源などの周辺機器を含めた開発競争も始まって​​います。

世代交代の象徴は、テスト機器メーカーLasertecです。元の回路基板であるフォトマスクに欠陥があると、それに応じて半導体の欠陥率が高くなります。同社はEUV対応の試験装置を生産しており、2019年7月から2020年3月までの受注は前年同期比2.2倍、658億円に達した。年間注文の3分の2はEUV関連であると予想されます。

加えて、日本企業間の激しい対立も起こっています。電子ビームマスク描画機の分野では、東芝のNuFlareテクノロジーがJEOLとIMS NANOFABRICATION(オーストリア)の提携に追いついています。焦点は、260,000レーザービームを使用する「マルチビーム」技術の開発にあります。

1月、東芝は敵対的なTOB(公開買付け)を開始したHOYAを撃退し、ニウフライへの支配を強化しました。新たに派遣された25名の開発技術者等が、2020年内にEUV対応次世代リソグラフィー装置の供給を計画しています。

レーザー光源を製造するコマツの子会社であるギガフォトン(栃木県小山市)がカムバックするのを楽しみにしています。 EUVが登場する前は、リソグラフィー機器の光源分野でトップ2に入っていました。ただし、ASMLによる競合他社の買収などの理由により、現在は存在感を失っています。ギガフォトンは、ASMLが市場シェアを回復するためにEUV次世代装置を発売する前に、高出力の光源コンポーネントの開発に努めています。

企業がEUV装置の開発を加速する背景には、韓国のSamsung ElectronicsとTSMCが開始した小型化競争があります。 5Gなどの高性能半導体の需要は強く、200億円以上のASML露光機をめぐって両社は競争している。この過程で、周辺の製造装置企業のビジネスチャンスも拡大しています。

国際半導体製造装置協会(SEMI)と日本半導体製造装置協会(SEAJ)の統計によると、2019年の日本製半導体製造装置の市場シェアは31.3%で、過去30%前後を占めていました。 20年。

リソグラフィ装置の分野では、ニコンとキヤノンは以前は世界市場を席巻していたが、ASMLとの競争に失敗し、EUV開発に遅れをとった。半導体分野では、製造工程が難しくなるにつれ、勝者勝負の傾向が強まっています。 EUVを機会とする世代交代は、機器企業の適任者の存続も加速します。

「本土市場における最先端機器への投資は停止した」とEUV関連の部品・部品会社の責任者はため息をついた。オランダ政府はこれを承認しなかったため、ASMLはEUVリソグラフィマシンを本土に輸出することができませんでした。また、周辺機器・部品の購入も停止しています。

その背後にある中国と米国の間の貿易摩擦があります。 ASML装置を輸入できない場合、本土の半導体メーカーは小型化の競争に遅れをとることになります。本土政府は、半導体の自給率が2020年までに40%、2025年までに70%に達するという目標を掲げていますが、これを達成することは困難です。米国が制裁の武器として使用するようオランダ政府に圧力をかけたと多くの見方が信じています。

International Semiconductor Equipment and Materials Associationの統計によると、2019年の半導体製造装置市場は599億ドルで、2014年と比較して59%増加しています。この期間、中国本土の市場はその存在感を高め、世界の市場全体は2014年の11.6%から22.5%に増加しました。日本の半導体製造装置メーカーにとって、本土は無視できない市場になっています。

EUV技術の開発は困難であり、すべての企業の研究開発費が増大しています。市場が拡大しなくなると、企業の投資収益率が遅れ、新技術の開発が進まなくなる可能性があります。